International Journal of Communication and Information Technology

P-ISSN: 2707-661X, E-ISSN: 2707-6628
Printed Journal   |   Refereed Journal   |   Peer Reviewed Journal

2022, Vol. 3, Issue 2, Part A

Examining partitioned caches performance in heterogeneous multi-core processors


Author(s): Manideep Yenugula

Abstract: The last-level cache (LLC) is shared by many distinct kinds of cores in asymmetric multi-core systems. There is greater rivalry in the LLC since different core types have different memory access needs. Our new technique for replacing the split cache, HAPC, takes heterogeneity into account. To improve core-to-core interference, this method uses cache partitioning. In multithreaded applications, it guides the replacement strategy by monitoring the shared reuse state of every cache block inside the partition during runtime. This ensures that cache blocks shared among different cores are maintained. For huge cores to make more efficient LLC visits, cache replacement algorithms generally modify the leftover state while preserving cache blocks needed by them. This approach takes into consideration the fact that heterogeneous cores have different memory accesses to LLC. In comparison to the state-of-the-art cache substitute’s algorithms, LRU and SRCP, HAPC can greatly enhance the performance of large cores when running multithreaded applications, with almost no impact on small cores, leading to an overall improvement in system performance.

DOI: 10.33545/2707661X.2022.v3.i2a.70

Pages: 31-32 | Views: 233 | Downloads: 142

Download Full Article: Click Here
How to cite this article:
Manideep Yenugula. Examining partitioned caches performance in heterogeneous multi-core processors. Int J Commun Inf Technol 2022;3(2):31-32. DOI: 10.33545/2707661X.2022.v3.i2a.70
International Journal of Communication and Information Technology

International Journal of Communication and Information Technology

International Journal of Communication and Information Technology
Call for book chapter